aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorXiretza <xiretza@xiretza.xyz>2022-05-31 10:16:12 +0200
committerGitHub <noreply@github.com>2022-05-31 10:16:12 +0200
commitb4e205d65eee6f1065c179f042df1c0fe0ef6d40 (patch)
tree0bf4a1934958a2766255587b997320c530b7f5db /doc
parent45303bcb54ad76c46ef39cffd74130cebe3536eb (diff)
downloadghdl-b4e205d65eee6f1065c179f042df1c0fe0ef6d40.tar.gz
ghdl-b4e205d65eee6f1065c179f042df1c0fe0ef6d40.tar.bz2
ghdl-b4e205d65eee6f1065c179f042df1c0fe0ef6d40.zip
doc: update links to Yosys website (#2069)
Diffstat (limited to 'doc')
-rw-r--r--doc/using/Synthesis.rst8
1 files changed, 4 insertions, 4 deletions
diff --git a/doc/using/Synthesis.rst b/doc/using/Synthesis.rst
index d3968fe67..953e0ec8b 100644
--- a/doc/using/Synthesis.rst
+++ b/doc/using/Synthesis.rst
@@ -138,7 +138,7 @@ Assertions, PSL and formal verification
Treat all PSL asserts like PSL assumes. If this option is used, GHDL generates an `assume` directive
for each `assert` directive during synthesis. This is similar to the `-assert-assumes`
- option of Yosys' `read_verilog <http://www.clifford.at/yosys/cmd_read_verilog.html>`_ command.
+ option of Yosys' `read_verilog <https://yosyshq.net/yosys/cmd_read_verilog.html>`_ command.
Example::
@@ -152,7 +152,7 @@ Assertions, PSL and formal verification
Treat all PSL assumes like PSL asserts. If this option is used, GHDL generates an `assert` directive
for each `assume` directive during synthesis. This is similar to the `-assume-asserts`
- option of Yosys' `read_verilog <http://www.clifford.at/yosys/cmd_read_verilog.html>`_ command.
+ option of Yosys' `read_verilog <https://yosyshq.net/yosys/cmd_read_verilog.html>`_ command.
Example::
@@ -167,7 +167,7 @@ Yosys plugin
************
`ghdl-yosys-plugin <https://github.com/ghdl/ghdl-yosys-plugin>`_ is a module to use GHDL as a VHDL front-end for `Yosys
-Open Synthesis Suite <http://www.clifford.at/yosys/>`_, a framework for optimised synthesis and technology mapping.
+Open Synthesis Suite <https://yosyshq.net/yosys/>`_, a framework for optimised synthesis and technology mapping.
Artifacts generated by Yosys can be used in multiple open source and vendor tools to achieve P&R, formal verification,
etc. A relevant feature of combining GHDL and Yosys is that mixed-language (VHDL-Verilog) synthesis with open source
tools is possible.
@@ -190,7 +190,7 @@ Yosys provides ``write_*`` commands for generating output netlists in different
sources can be converted to EDIF, SMT, BTOR2, etc.
.. HINT:: For a comprehensive list of supported output formats (AIGER, BLIF, ILANG, JSON...), check out the
- `Yosys documentation <http://www.clifford.at/yosys/documentation.html>`_.
+ `Yosys documentation <https://yosyshq.net/yosys/documentation.html>`_.
To Verilog
----------