aboutsummaryrefslogtreecommitdiffstats
path: root/libraries/ieee/numeric_std-body.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2014-12-21 21:34:48 +0100
committerTristan Gingold <tgingold@free.fr>2014-12-21 21:34:48 +0100
commit4c3181e8d52b654b9ca1112b272f53803c7f5dc1 (patch)
treeba92f927e5b20cd3d0f70c83d7fc45bbcf73ea6b /libraries/ieee/numeric_std-body.vhdl
parentcc8c7684219e99a4cea75ff4c7757de27b78c572 (diff)
downloadghdl-4c3181e8d52b654b9ca1112b272f53803c7f5dc1.tar.gz
ghdl-4c3181e8d52b654b9ca1112b272f53803c7f5dc1.tar.bz2
ghdl-4c3181e8d52b654b9ca1112b272f53803c7f5dc1.zip
Backport from ghdl 0.32: update libraries and ghdlfilter.
From Brian Davis.
Diffstat (limited to 'libraries/ieee/numeric_std-body.vhdl')
-rw-r--r--libraries/ieee/numeric_std-body.vhdl12
1 files changed, 6 insertions, 6 deletions
diff --git a/libraries/ieee/numeric_std-body.vhdl b/libraries/ieee/numeric_std-body.vhdl
index a5d609dc3..ff34e18af 100644
--- a/libraries/ieee/numeric_std-body.vhdl
+++ b/libraries/ieee/numeric_std-body.vhdl
@@ -1963,7 +1963,7 @@ package body NUMERIC_STD is
end ROTATE_RIGHT;
--============================================================================
---START-V93
+--START-!V87
------------------------------------------------------------------------------
-- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment
@@ -2077,7 +2077,7 @@ package body NUMERIC_STD is
end if;
end "ror";
---END-V93
+--END-!V87
--============================================================================
-- Id: D.1
@@ -2271,7 +2271,7 @@ package body NUMERIC_STD is
return RESULT;
end "xor";
---START-V93
+--START-!V87
------------------------------------------------------------------------------
-- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
@@ -2283,7 +2283,7 @@ package body NUMERIC_STD is
RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) xnor STD_LOGIC_VECTOR(R));
return RESULT;
end "xnor";
---END-V93
+--END-!V87
-- Id: L.8
function "not" (L: SIGNED) return SIGNED is
@@ -2333,7 +2333,7 @@ package body NUMERIC_STD is
return RESULT;
end "xor";
---START-V93
+--START-!V87
------------------------------------------------------------------------------
-- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment
-- out the function (declaration and body) for VHDL 1076-1987 compatibility.
@@ -2345,7 +2345,7 @@ package body NUMERIC_STD is
RESULT := SIGNED(STD_LOGIC_VECTOR(L) xnor STD_LOGIC_VECTOR(R));
return RESULT;
end "xnor";
---END-V93
+--END-!V87
--============================================================================