aboutsummaryrefslogtreecommitdiffstats
path: root/src/ghdldrv/ghdl_gcc.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-07-15 06:32:58 +0200
committerTristan Gingold <tgingold@free.fr>2016-07-16 15:06:44 +0200
commite35b24adbb1d0e76c076fe475c8442738b3378be (patch)
treeb65ad8fa33cfad1dbbff863561d6cb964f889801 /src/ghdldrv/ghdl_gcc.adb
parent0eadd36bc47b08ad552d325c54f9e3a305c6838c (diff)
downloadghdl-e35b24adbb1d0e76c076fe475c8442738b3378be.tar.gz
ghdl-e35b24adbb1d0e76c076fe475c8442738b3378be.tar.bz2
ghdl-e35b24adbb1d0e76c076fe475c8442738b3378be.zip
Rework gcc build procedure. Add vpi build command.
Diffstat (limited to 'src/ghdldrv/ghdl_gcc.adb')
-rw-r--r--src/ghdldrv/ghdl_gcc.adb2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/ghdldrv/ghdl_gcc.adb b/src/ghdldrv/ghdl_gcc.adb
index d97a7ed16..f08c4cef0 100644
--- a/src/ghdldrv/ghdl_gcc.adb
+++ b/src/ghdldrv/ghdl_gcc.adb
@@ -19,6 +19,7 @@ with Ghdlmain;
with Ghdllocal;
with Ghdldrv;
with Ghdlprint;
+with Ghdlvpi;
procedure Ghdl_Gcc is
begin
@@ -27,6 +28,7 @@ begin
Ghdlmain.Version_String := new String'("GCC back-end code generator");
Ghdldrv.Backend := Ghdldrv.Backend_Gcc;
Ghdldrv.Register_Commands;
+ Ghdlvpi.Register_Commands;
Ghdllocal.Register_Commands;
Ghdlprint.Register_Commands;
Ghdlmain.Register_Commands;