aboutsummaryrefslogtreecommitdiffstats
path: root/src/grt/grt-fst.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-09-03 14:56:22 +0200
committerTristan Gingold <tgingold@free.fr>2016-09-03 14:57:28 +0200
commitc553937361b0b9f20b0445b75a296559911a3e53 (patch)
treec30823e0ae68a1107565387d4581e3059cb95194 /src/grt/grt-fst.adb
parentd4ba25fa7fb5e7e110c5dbf567bd840e845ba834 (diff)
downloadghdl-c553937361b0b9f20b0445b75a296559911a3e53.tar.gz
ghdl-c553937361b0b9f20b0445b75a296559911a3e53.tar.bz2
ghdl-c553937361b0b9f20b0445b75a296559911a3e53.zip
vcd,fst: avoid spurious error message for packages.
Diffstat (limited to 'src/grt/grt-fst.adb')
-rw-r--r--src/grt/grt-fst.adb5
1 files changed, 5 insertions, 0 deletions
diff --git a/src/grt/grt-fst.adb b/src/grt/grt-fst.adb
index 7e912fe80..29bc5acad 100644
--- a/src/grt/grt-fst.adb
+++ b/src/grt/grt-fst.adb
@@ -510,6 +510,11 @@ package body Grt.Fst is
end loop;
-- Extract sub-scopes.
+ if Vhpi_Get_Kind (Inst) = VhpiPackInstK then
+ -- Except for packages
+ return;
+ end if;
+
Vhpi_Iterator (VhpiInternalRegions, Inst, Decl_It, Error);
if Error /= AvhpiErrorOk then
Avhpi_Error (Error);