aboutsummaryrefslogtreecommitdiffstats
path: root/src/grt/grt-vcd.ads
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-06-06 10:18:00 +0200
committerTristan Gingold <tgingold@free.fr>2021-06-06 10:18:00 +0200
commit2cf1465a532c8f089215193a5f9f189f5684eaf0 (patch)
tree454ef8be3d419af18d59fd12b464ed089bde378d /src/grt/grt-vcd.ads
parentf66524bb94be8ca7850565f5d0346a8aba5c5ea1 (diff)
downloadghdl-2cf1465a532c8f089215193a5f9f189f5684eaf0.tar.gz
ghdl-2cf1465a532c8f089215193a5f9f189f5684eaf0.tar.bz2
ghdl-2cf1465a532c8f089215193a5f9f189f5684eaf0.zip
grt: preliminary work to support arrays in vpi
Diffstat (limited to 'src/grt/grt-vcd.ads')
-rw-r--r--src/grt/grt-vcd.ads13
1 files changed, 11 insertions, 2 deletions
diff --git a/src/grt/grt-vcd.ads b/src/grt/grt-vcd.ads
index 6e76f3c75..5ab3b7636 100644
--- a/src/grt/grt-vcd.ads
+++ b/src/grt/grt-vcd.ads
@@ -58,7 +58,13 @@ package Grt.Vcd is
Vcd_Bit, Vcd_Stdlogic,
-- A bit vector type
- Vcd_Bitvector, Vcd_Stdlogic_Vector
+ Vcd_Bitvector, Vcd_Stdlogic_Vector,
+
+ -- Any array (that is not a vector)
+ Vcd_Array,
+
+ -- Any record
+ Vcd_Struct
);
subtype Vcd_Var_Vectors is Vcd_Var_Type
@@ -80,10 +86,13 @@ package Grt.Vcd is
case Vtype is
when Vcd_Var_Vectors =>
-- Vector bounds.
- Irange : Ghdl_Range_Ptr;
+ Vec_Range : Ghdl_Range_Ptr;
when Vcd_Enum8 =>
-- Base type.
Rti : Rtis.Ghdl_Rti_Access;
+ when Vcd_Array =>
+ Arr_Rti : Rtis.Ghdl_Rti_Access;
+ Arr_Layout : System.Address;
when others =>
null;
end case;