aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-numeric_std.ads
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-16 08:09:27 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-16 08:17:51 +0200
commitad87210f34671ab9454b581965a15f67eb39cdcf (patch)
treeec8b4aa8c68e2f2ebae0caa90fd437c812777f64 /src/synth/synth-ieee-numeric_std.ads
parent2412f7355e029905cdac0883982fd3927f493e3a (diff)
downloadghdl-ad87210f34671ab9454b581965a15f67eb39cdcf.tar.gz
ghdl-ad87210f34671ab9454b581965a15f67eb39cdcf.tar.bz2
ghdl-ad87210f34671ab9454b581965a15f67eb39cdcf.zip
synth: handle static calls to signed numeric_std + -. Fix #1313
Diffstat (limited to 'src/synth/synth-ieee-numeric_std.ads')
-rw-r--r--src/synth/synth-ieee-numeric_std.ads9
1 files changed, 6 insertions, 3 deletions
diff --git a/src/synth/synth-ieee-numeric_std.ads b/src/synth/synth-ieee-numeric_std.ads
index 31beea86f..280be3da5 100644
--- a/src/synth/synth-ieee-numeric_std.ads
+++ b/src/synth/synth-ieee-numeric_std.ads
@@ -27,20 +27,23 @@ package Synth.Ieee.Numeric_Std is
function Neg_Sgn (V : Std_Logic_Vector) return Std_Logic_Vector;
+ -- "+"
function Add_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector;
-
function Add_Sgn_Int (L : Std_Logic_Vector; R : Int64)
return Std_Logic_Vector;
function Add_Uns_Nat (L : Std_Logic_Vector; R : Uns64)
return Std_Logic_Vector;
+ function Add_Sgn_Sgn (L, R : Std_Logic_Vector) return Std_Logic_Vector;
+ -- "-"
function Sub_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector;
-
function Sub_Sgn_Int (L : Std_Logic_Vector; R : Int64)
return Std_Logic_Vector;
function Sub_Uns_Nat (L : Std_Logic_Vector; R : Uns64)
- return Std_Logic_Vector;
+ return Std_Logic_Vector;
+ function Sub_Sgn_Sgn (L, R : Std_Logic_Vector) return Std_Logic_Vector;
+ -- "*"
function Mul_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector;
function Mul_Nat_Uns (L : Uns64; R : Std_Logic_Vector)
return Std_Logic_Vector;