aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-17 08:58:21 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-17 08:58:21 +0200
commit275a8f09762f612d41654e22af4f075cd13fd941 (patch)
treee49671b178f584006767264641f91c5ebe7d7b22 /src/synth
parent74e48a9526fa26e973cd38bbe7610904e5896feb (diff)
downloadghdl-275a8f09762f612d41654e22af4f075cd13fd941.tar.gz
ghdl-275a8f09762f612d41654e22af4f075cd13fd941.tar.bz2
ghdl-275a8f09762f612d41654e22af4f075cd13fd941.zip
synth-ieee-std-logic_1164: remove unused std_logic_vector declaration.
Diffstat (limited to 'src/synth')
-rw-r--r--src/synth/synth-ieee-std_logic_1164.ads4
1 files changed, 0 insertions, 4 deletions
diff --git a/src/synth/synth-ieee-std_logic_1164.ads b/src/synth/synth-ieee-std_logic_1164.ads
index fc82c94b8..59493fa74 100644
--- a/src/synth/synth-ieee-std_logic_1164.ads
+++ b/src/synth/synth-ieee-std_logic_1164.ads
@@ -43,10 +43,6 @@ package Synth.Ieee.Std_Logic_1164 is
function Read_Std_Logic (M : Memory_Ptr; Off : Uns32) return Std_Ulogic;
procedure Write_Std_Logic (M : Memory_Ptr; Off : Uns32; Val : Std_Ulogic);
- -- Vector of logic state.
- -- First index is the leftest.
- type Std_Logic_Vector is array (Natural range <>) of Std_Ulogic;
-
type Table_1d is array (Std_Ulogic) of Std_Ulogic;
type Table_2d is array (Std_Ulogic, Std_Ulogic) of Std_Ulogic;