aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/simulate/simul-simulation-main.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-05-08 07:33:04 +0200
committerTristan Gingold <tgingold@free.fr>2019-05-08 07:33:04 +0200
commita05c5813bee6c063dc196471e66816fbca5dc50e (patch)
tree7e6e01af2cbb3bcb02bf52fab6bf3075e613a211 /src/vhdl/simulate/simul-simulation-main.adb
parentd87e8284e3dc3adced8b8aa2258e3a87097396b1 (diff)
downloadghdl-a05c5813bee6c063dc196471e66816fbca5dc50e.tar.gz
ghdl-a05c5813bee6c063dc196471e66816fbca5dc50e.tar.bz2
ghdl-a05c5813bee6c063dc196471e66816fbca5dc50e.zip
vhdl: extract vhdl.errors from errorout.
Diffstat (limited to 'src/vhdl/simulate/simul-simulation-main.adb')
-rw-r--r--src/vhdl/simulate/simul-simulation-main.adb1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/vhdl/simulate/simul-simulation-main.adb b/src/vhdl/simulate/simul-simulation-main.adb
index ab9b083fc..8a91ed179 100644
--- a/src/vhdl/simulate/simul-simulation-main.adb
+++ b/src/vhdl/simulate/simul-simulation-main.adb
@@ -21,6 +21,7 @@ with Ada.Text_IO; use Ada.Text_IO;
with Types; use Types;
with Vhdl.Utils; use Vhdl.Utils;
with Errorout; use Errorout;
+with Vhdl.Errors; use Vhdl.Errors;
with PSL.Nodes;
with PSL.NFAs;
with PSL.NFAs.Utils;