aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-25 06:10:38 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-25 06:10:38 +0200
commitf992c33f302b0e5060d30dedff94ee9fa15190ef (patch)
treee114467749e011cf29454483b9bc93a3a8a09f35 /src/vhdl/vhdl-ieee-std_logic_unsigned.adb
parent8d8e3fd40444b7f8c11e6ff510d1fbf70b7954af (diff)
downloadghdl-f992c33f302b0e5060d30dedff94ee9fa15190ef.tar.gz
ghdl-f992c33f302b0e5060d30dedff94ee9fa15190ef.tar.bz2
ghdl-f992c33f302b0e5060d30dedff94ee9fa15190ef.zip
vhdl+synth: recognize /= to std_logic_unsigned.
Diffstat (limited to 'src/vhdl/vhdl-ieee-std_logic_unsigned.adb')
-rw-r--r--src/vhdl/vhdl-ieee-std_logic_unsigned.adb8
1 files changed, 8 insertions, 0 deletions
diff --git a/src/vhdl/vhdl-ieee-std_logic_unsigned.adb b/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
index 5acf9ae4f..dafbf7dad 100644
--- a/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
+++ b/src/vhdl/vhdl-ieee-std_logic_unsigned.adb
@@ -33,6 +33,12 @@ package body Vhdl.Ieee.Std_Logic_Unsigned is
Arg_Int_Slv => Iir_Predefined_Ieee_Std_Logic_Unsigned_Eq_Int_Slv,
others => Iir_Predefined_None);
+ Ne_Patterns : constant Binary_Pattern_Type :=
+ (Arg_Slv_Slv => Iir_Predefined_Ieee_Std_Logic_Unsigned_Ne_Slv_Slv,
+ Arg_Slv_Int => Iir_Predefined_Ieee_Std_Logic_Unsigned_Ne_Slv_Int,
+ Arg_Int_Slv => Iir_Predefined_Ieee_Std_Logic_Unsigned_Ne_Int_Slv,
+ others => Iir_Predefined_None);
+
Lt_Patterns : constant Binary_Pattern_Type :=
(Arg_Slv_Slv => Iir_Predefined_Ieee_Std_Logic_Unsigned_Lt_Slv_Slv,
Arg_Slv_Int => Iir_Predefined_Ieee_Std_Logic_Unsigned_Lt_Slv_Int,
@@ -126,6 +132,8 @@ package body Vhdl.Ieee.Std_Logic_Unsigned is
case Get_Identifier (Decl) is
when Name_Op_Equality =>
Handle_Binary (Eq_Patterns);
+ when Name_Op_Inequality =>
+ Handle_Binary (Ne_Patterns);
when Name_Op_Less =>
Handle_Binary (Lt_Patterns);
when Name_Op_Less_Equal =>