aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-std_package.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-05-07 07:49:52 +0200
committerTristan Gingold <tgingold@free.fr>2019-05-07 07:49:52 +0200
commitcc951b301b52286677f36c390e077e9d3a3ea793 (patch)
tree9282f8939305ed249ad528d533cda0657495411f /src/vhdl/vhdl-std_package.adb
parent492288d13db59eb946ef44dc4c5b4fa815217791 (diff)
downloadghdl-cc951b301b52286677f36c390e077e9d3a3ea793.tar.gz
ghdl-cc951b301b52286677f36c390e077e9d3a3ea793.tar.bz2
ghdl-cc951b301b52286677f36c390e077e9d3a3ea793.zip
vhdl-nodes_utils: renaming.
Diffstat (limited to 'src/vhdl/vhdl-std_package.adb')
-rw-r--r--src/vhdl/vhdl-std_package.adb6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/vhdl/vhdl-std_package.adb b/src/vhdl/vhdl-std_package.adb
index 3d7a78c85..d112b0daf 100644
--- a/src/vhdl/vhdl-std_package.adb
+++ b/src/vhdl/vhdl-std_package.adb
@@ -840,7 +840,7 @@ package body Vhdl.Std_Package is
Set_Expr_Staticness (Unit, Time_Staticness);
Set_Name_Staticness (Unit, Locally);
- Sub_Chain_Append (First_Unit, Last_Unit, Unit);
+ Chain_Append (First_Unit, Last_Unit, Unit);
end Create_Unit;
Constraint : Iir_Range_Expression;
@@ -860,7 +860,7 @@ package body Vhdl.Std_Package is
not Flags.Flag_Whole_Analyze);
Set_End_Has_Reserved_Id (Time_Type_Definition, True);
- Sub_Chain_Init (First_Unit, Last_Unit);
+ Chain_Init (First_Unit, Last_Unit);
Time_Fs_Unit := Create_Std_Decl (Iir_Kind_Unit_Declaration);
Set_Std_Identifier (Time_Fs_Unit, Name_Fs);
@@ -869,7 +869,7 @@ package body Vhdl.Std_Package is
Set_Name_Staticness (Time_Fs_Unit, Locally);
Set_Physical_Literal
(Time_Fs_Unit, Create_Std_Phys_Lit (1, Time_Fs_Unit));
- Sub_Chain_Append (First_Unit, Last_Unit, Time_Fs_Unit);
+ Chain_Append (First_Unit, Last_Unit, Time_Fs_Unit);
Create_Unit (Time_Ps_Unit, 1000, Time_Fs_Unit, Name_Ps);
Create_Unit (Time_Ns_Unit, 1000, Time_Ps_Unit, Name_Ns);