aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-10-09 18:42:49 +0200
committerTristan Gingold <tgingold@free.fr>2020-10-09 18:43:05 +0200
commitb27832d75291c3288f59d648cfa83b74152adc38 (patch)
tree33a3efb1c2b31150733312a2ab121d9a20a8adfd /testsuite/gna/bug0100
parente23a9f0b04b91468fbeead582b15de394c8a4a80 (diff)
downloadghdl-b27832d75291c3288f59d648cfa83b74152adc38.tar.gz
ghdl-b27832d75291c3288f59d648cfa83b74152adc38.tar.bz2
ghdl-b27832d75291c3288f59d648cfa83b74152adc38.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite/gna/bug0100')
-rw-r--r--testsuite/gna/bug0100/paren.vhdl13
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/paren.vhdl b/testsuite/gna/bug0100/paren.vhdl
new file mode 100644
index 000000000..ca1c9b7fd
--- /dev/null
+++ b/testsuite/gna/bug0100/paren.vhdl
@@ -0,0 +1,13 @@
+entity paren is
+end paren;
+
+architecture behav of paren is
+ constant cst : natural := 5;
+begin
+ process
+ begin
+ if (cst = 3 then
+ null;
+ end if;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index db51469d8..786c316ca 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -22,6 +22,7 @@ analyze_failure libparen.vhdl
analyze_failure --force-analysis badrng.vhdl
analyze_failure --force-analysis attr.vhdl
analyze_failure --force-analysis attr2.vhdl
+analyze_failure paren.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: