aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0112
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-11 21:19:19 +0100
committerTristan Gingold <tgingold@free.fr>2020-03-13 06:30:28 +0100
commitf18f3f74a73c8677698a2797d5ae6b5b458351e3 (patch)
treeccba58adc47c3d88902b2149eb883adcf6d9765b /testsuite/gna/bug0112
parentd70084ccb149ff4f5fca072c672b1f3d040358a9 (diff)
downloadghdl-f18f3f74a73c8677698a2797d5ae6b5b458351e3.tar.gz
ghdl-f18f3f74a73c8677698a2797d5ae6b5b458351e3.tar.bz2
ghdl-f18f3f74a73c8677698a2797d5ae6b5b458351e3.zip
testsuite/gna: add testcase for previous commit.
Diffstat (limited to 'testsuite/gna/bug0112')
-rw-r--r--testsuite/gna/bug0112/assert01.vhdl13
-rwxr-xr-xtestsuite/gna/bug0112/testsuite.sh12
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/bug0112/assert01.vhdl b/testsuite/gna/bug0112/assert01.vhdl
new file mode 100644
index 000000000..da12815f5
--- /dev/null
+++ b/testsuite/gna/bug0112/assert01.vhdl
@@ -0,0 +1,13 @@
+entity assert01 is
+ generic (
+ ginv : boolean := false
+ );
+ port (i : bit;
+ o : out bit);
+end assert01;
+
+architecture behav of assert01 is
+begin
+ assert (not ginv) report "ginv is true" severity failure;
+ o <= i;
+end behav;
diff --git a/testsuite/gna/bug0112/testsuite.sh b/testsuite/gna/bug0112/testsuite.sh
new file mode 100755
index 000000000..a5701529a
--- /dev/null
+++ b/testsuite/gna/bug0112/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+if $GHDL --version | grep -q mcode; then
+ analyze --check-ast assert01.vhdl
+fi
+
+clean
+
+echo "Test successful"