diff options
author | Tristan Gingold <tgingold@free.fr> | 2016-03-15 20:28:56 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2016-03-15 20:28:56 +0100 |
commit | 37192248646ce7b4688f105877449c640e5039ce (patch) | |
tree | 9cc7877a5b50d3e48373a39dcbedd7419c5b35c9 /testsuite/gna/bug040/cmp_879.vhd | |
parent | f4a61202e89d2e29416ae9a4b59bea665336c325 (diff) | |
download | ghdl-37192248646ce7b4688f105877449c640e5039ce.tar.gz ghdl-37192248646ce7b4688f105877449c640e5039ce.tar.bz2 ghdl-37192248646ce7b4688f105877449c640e5039ce.zip |
Add bug040 testcase.
Diffstat (limited to 'testsuite/gna/bug040/cmp_879.vhd')
-rw-r--r-- | testsuite/gna/bug040/cmp_879.vhd | 26 |
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/bug040/cmp_879.vhd b/testsuite/gna/bug040/cmp_879.vhd new file mode 100644 index 000000000..6a9edcb01 --- /dev/null +++ b/testsuite/gna/bug040/cmp_879.vhd @@ -0,0 +1,26 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity cmp_879 is + port ( + ne : out std_logic; + in1 : in std_logic_vector(31 downto 0); + in0 : in std_logic_vector(31 downto 0) + ); +end cmp_879; + +architecture augh of cmp_879 is + + signal tmp : std_logic; + +begin + + -- Compute the result + tmp <= + '0' when in1 /= in0 else + '1'; + + -- Set the outputs + ne <= not(tmp); + +end architecture; |