aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug041
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-03-26 16:31:46 +0100
committerTristan Gingold <tgingold@free.fr>2016-03-26 16:31:46 +0100
commit449f4ac088da240a42cfd791e12de533c1bc5377 (patch)
tree11612b9a5e7c176a425b672bf2cd8de4713b06e2 /testsuite/gna/bug041
parentc42bb2eac575196a2a19334e585d72d8c7c01f63 (diff)
downloadghdl-449f4ac088da240a42cfd791e12de533c1bc5377.tar.gz
ghdl-449f4ac088da240a42cfd791e12de533c1bc5377.tar.bz2
ghdl-449f4ac088da240a42cfd791e12de533c1bc5377.zip
Add bug041.
Diffstat (limited to 'testsuite/gna/bug041')
-rw-r--r--testsuite/gna/bug041/foo.vhdl11
-rwxr-xr-xtestsuite/gna/bug041/testsuite.sh9
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/bug041/foo.vhdl b/testsuite/gna/bug041/foo.vhdl
new file mode 100644
index 000000000..3d12760b2
--- /dev/null
+++ b/testsuite/gna/bug041/foo.vhdl
@@ -0,0 +1,11 @@
+entity foo is
+end entity;
+
+architecture fum of foo is
+ signal a: bit_vector (1 to 1);
+ signal b: bit_vector (1 to 1);
+begin
+
+ a(1 to 1) <= b(1);
+
+end architecture;
diff --git a/testsuite/gna/bug041/testsuite.sh b/testsuite/gna/bug041/testsuite.sh
new file mode 100755
index 000000000..88cd64e80
--- /dev/null
+++ b/testsuite/gna/bug041/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure foo.vhdl
+
+clean
+
+echo "Test successful"