aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug065
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-05 03:23:56 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-05 03:25:22 +0100
commitcd4acc3e0237fbc658696835d0901d0a2a0355d1 (patch)
tree08bd03da7a47b7e7a77e32ebfeacdb94cca27200 /testsuite/gna/bug065
parent8c6e74a5dea5324e72b12482b35e92d278c9bffa (diff)
downloadghdl-cd4acc3e0237fbc658696835d0901d0a2a0355d1.tar.gz
ghdl-cd4acc3e0237fbc658696835d0901d0a2a0355d1.tar.bz2
ghdl-cd4acc3e0237fbc658696835d0901d0a2a0355d1.zip
Add testcase for type interface.
Diffstat (limited to 'testsuite/gna/bug065')
-rw-r--r--testsuite/gna/bug065/repro.vhdl17
-rwxr-xr-xtestsuite/gna/bug065/testsuite.sh11
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/bug065/repro.vhdl b/testsuite/gna/bug065/repro.vhdl
new file mode 100644
index 000000000..70035bbd3
--- /dev/null
+++ b/testsuite/gna/bug065/repro.vhdl
@@ -0,0 +1,17 @@
+package gen is
+ generic (type t);
+end gen;
+
+entity e is
+end entity;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture a of e is
+ subtype T_DATA is std_logic_vector(31 downto 0);
+ type T_DATA_VECTOR is array(natural range <>) of T_DATA;
+
+ package pkg is new work.gen generic map (t => t_data_vector);
+begin
+end architecture;
diff --git a/testsuite/gna/bug065/testsuite.sh b/testsuite/gna/bug065/testsuite.sh
new file mode 100755
index 000000000..f4a473727
--- /dev/null
+++ b/testsuite/gna/bug065/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate e
+
+clean
+
+echo "Test successful"