aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug069
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-03-25 09:49:59 +0100
committerTristan Gingold <tgingold@free.fr>2017-03-25 09:49:59 +0100
commit2b10b1c53e0e54c286ddd951fc2c169789ac4b37 (patch)
tree46564ae0a49a7339b8a3a25fa537d73e27dff123 /testsuite/gna/bug069
parent06d1356736fb888dbf8d5e899e5be39030079c37 (diff)
downloadghdl-2b10b1c53e0e54c286ddd951fc2c169789ac4b37.tar.gz
ghdl-2b10b1c53e0e54c286ddd951fc2c169789ac4b37.tar.bz2
ghdl-2b10b1c53e0e54c286ddd951fc2c169789ac4b37.zip
Add testcase for previous commit.
Diffstat (limited to 'testsuite/gna/bug069')
-rw-r--r--testsuite/gna/bug069/bug1.vhdl15
-rwxr-xr-xtestsuite/gna/bug069/testsuite.sh9
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/bug069/bug1.vhdl b/testsuite/gna/bug069/bug1.vhdl
new file mode 100644
index 000000000..72dea1a0a
--- /dev/null
+++ b/testsuite/gna/bug069/bug1.vhdl
@@ -0,0 +1,15 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity bug1 is
+end entity bug1;
+
+architecture behavioural of bug1 is
+
+ signal outEn : std_logic;
+
+begin
+
+ outEn <= 1;
+
+end architecture behavioural;
diff --git a/testsuite/gna/bug069/testsuite.sh b/testsuite/gna/bug069/testsuite.sh
new file mode 100755
index 000000000..89f3fb363
--- /dev/null
+++ b/testsuite/gna/bug069/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure bug1.vhdl
+
+clean
+
+echo "Test successful"