aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug085
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-01-25 06:44:08 +0100
committerTristan Gingold <tgingold@free.fr>2018-01-25 06:44:08 +0100
commite35d06c2fae8dc0fb90041a5966be3eafd48ce6f (patch)
tree34ba826e94c1a49fe625c1d469193c3ba5aa4fbe /testsuite/gna/bug085
parent15845deaa7c9cd7ea32519a82fead0488dde10bb (diff)
downloadghdl-e35d06c2fae8dc0fb90041a5966be3eafd48ce6f.tar.gz
ghdl-e35d06c2fae8dc0fb90041a5966be3eafd48ce6f.tar.bz2
ghdl-e35d06c2fae8dc0fb90041a5966be3eafd48ce6f.zip
Add reproducer for previous commit.
Diffstat (limited to 'testsuite/gna/bug085')
-rw-r--r--testsuite/gna/bug085/foo.vhdl13
-rwxr-xr-xtestsuite/gna/bug085/testsuite.sh9
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/bug085/foo.vhdl b/testsuite/gna/bug085/foo.vhdl
new file mode 100644
index 000000000..a5eec4d33
--- /dev/null
+++ b/testsuite/gna/bug085/foo.vhdl
@@ -0,0 +1,13 @@
+entity foo is
+end entity;
+
+architecture fum of foo is
+begin
+ process
+ begin
+ report "integer'high = " & integer'image(integer'high);
+ report "16#1FFFFFFFF# = "
+ & integer'image(16#1FFFFFFFF#);
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/bug085/testsuite.sh b/testsuite/gna/bug085/testsuite.sh
new file mode 100755
index 000000000..88cd64e80
--- /dev/null
+++ b/testsuite/gna/bug085/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure foo.vhdl
+
+clean
+
+echo "Test successful"