aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1131
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-05 19:03:25 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-05 19:03:25 +0200
commita0d2fd3cab9360d58cf24474f85c73ca3fd31bdc (patch)
treed3ab480da8b4c761188a799928fb6c3f55dc2ada /testsuite/gna/issue1131
parentb2db06c1dcf66cd0751974551c61f96aab30c758 (diff)
downloadghdl-a0d2fd3cab9360d58cf24474f85c73ca3fd31bdc.tar.gz
ghdl-a0d2fd3cab9360d58cf24474f85c73ca3fd31bdc.tar.bz2
ghdl-a0d2fd3cab9360d58cf24474f85c73ca3fd31bdc.zip
testsuite/gna: improve testing.
Diffstat (limited to 'testsuite/gna/issue1131')
-rw-r--r--testsuite/gna/issue1131/repro2.vhdl5
1 files changed, 4 insertions, 1 deletions
diff --git a/testsuite/gna/issue1131/repro2.vhdl b/testsuite/gna/issue1131/repro2.vhdl
index 77d1a1f2e..93a63a606 100644
--- a/testsuite/gna/issue1131/repro2.vhdl
+++ b/testsuite/gna/issue1131/repro2.vhdl
@@ -24,6 +24,9 @@ architecture Behav of repro2 is
signal barr1 : my_bust_arr;
signal barr2 : bust_arr (1 downto 0)(a(3 downto 0), f(3 downto 0));
+ signal s : bit;
begin
-
+ s <= '1' after 1 ns, '0' after 2 ns;
+ businst.f(0) <= '1' after 200 ps;
+ barr1(1).a(2) <= '1' after 200 ps;
end architecture;