aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1274/issue93.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-02 09:44:36 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-02 09:44:36 +0200
commit101d88efa3b5fa6ec5b1e647cdf49650ebb606f1 (patch)
tree58651898be00ebdf170cfa0523ac79de13bb80e9 /testsuite/gna/issue1274/issue93.vhdl
parentdb7ef6818edba6f7210e2fd6761333cd1131b25e (diff)
downloadghdl-101d88efa3b5fa6ec5b1e647cdf49650ebb606f1.tar.gz
ghdl-101d88efa3b5fa6ec5b1e647cdf49650ebb606f1.tar.bz2
ghdl-101d88efa3b5fa6ec5b1e647cdf49650ebb606f1.zip
testsuite/gna: add tests for #1274
Diffstat (limited to 'testsuite/gna/issue1274/issue93.vhdl')
-rw-r--r--testsuite/gna/issue1274/issue93.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue1274/issue93.vhdl b/testsuite/gna/issue1274/issue93.vhdl
new file mode 100644
index 000000000..30e75bcd7
--- /dev/null
+++ b/testsuite/gna/issue1274/issue93.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity issue93 is
+ port (foo : out std_logic;
+ bar : out std_logic);
+end ;
+
+architecture beh of issue93 is
+begin
+ (foo, bar) <= "10" + "01"; -- crashes
+end architecture;