aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1292
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-09 07:57:18 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-09 07:57:18 +0200
commit06f3682dc85a965c9d3ccee26d0457e6df2869d6 (patch)
tree70f0ce515500d17c8bfb1a68c567d11e26672122 /testsuite/gna/issue1292
parenta3edc8687d626735460aa2765197c85ffef9408a (diff)
downloadghdl-06f3682dc85a965c9d3ccee26d0457e6df2869d6.tar.gz
ghdl-06f3682dc85a965c9d3ccee26d0457e6df2869d6.tar.bz2
ghdl-06f3682dc85a965c9d3ccee26d0457e6df2869d6.zip
testsuite/gna: add a test for #1292
Diffstat (limited to 'testsuite/gna/issue1292')
-rw-r--r--testsuite/gna/issue1292/psl_next_event_e.vhdl97
-rwxr-xr-xtestsuite/gna/issue1292/testsuite.sh11
2 files changed, 108 insertions, 0 deletions
diff --git a/testsuite/gna/issue1292/psl_next_event_e.vhdl b/testsuite/gna/issue1292/psl_next_event_e.vhdl
new file mode 100644
index 000000000..054299350
--- /dev/null
+++ b/testsuite/gna/issue1292/psl_next_event_e.vhdl
@@ -0,0 +1,97 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+
+entity sequencer is
+ generic (
+ seq : string
+ );
+ port (
+ clk : in std_logic;
+ data : out std_logic
+ );
+end entity sequencer;
+
+
+architecture rtl of sequencer is
+
+ signal index : natural := seq'low;
+ signal ch : character;
+
+ function to_bit (a : in character) return std_logic is
+ variable ret : std_logic;
+ begin
+ case a is
+ when '0' | '_' => ret := '0';
+ when '1' | '-' => ret := '1';
+ when others => ret := 'X';
+ end case;
+ return ret;
+ end function to_bit;
+
+begin
+
+
+ process (clk) is
+ begin
+ if rising_edge(clk) then
+ if (index < seq'high) then
+ index <= index + 1;
+ end if;
+ end if;
+ end process;
+
+ ch <= seq(index);
+
+ data <= to_bit(ch);
+
+
+end architecture rtl;
+
+
+library ieee;
+ use ieee.std_logic_1164.all;
+
+
+entity psl_next_event_e is
+end entity psl_next_event_e;
+
+
+architecture psl of psl_next_event_e is
+ signal clk : std_logic := '0';
+
+ component sequencer is
+ generic (
+ seq : string
+ );
+ port (
+ clk : in std_logic;
+ data : out std_logic
+ );
+ end component sequencer;
+
+ signal a, b, c : std_logic;
+
+begin
+
+ -- 012345678901234
+ SEQ_A : sequencer generic map ("_-______-______") port map (clk, a);
+ SEQ_B : sequencer generic map ("___-__-___-__-_") port map (clk, b);
+ SEQ_C : sequencer generic map ("______-___-____") port map (clk, c);
+
+
+ -- All is sensitive to rising edge of clk
+ default clock is rising_edge(clk);
+
+ -- This assertion holds
+ assert_NEXT_EVENT_a : assert always (a -> next_event_e(b)[1 to 2](c));
+
+ process
+ begin
+ for i in 1 to 2*20 loop
+ wait for 1 ns;
+ clk <= not clk;
+ end loop;
+ wait;
+ end process;
+end architecture psl;
diff --git a/testsuite/gna/issue1292/testsuite.sh b/testsuite/gna/issue1292/testsuite.sh
new file mode 100755
index 000000000..efacbbace
--- /dev/null
+++ b/testsuite/gna/issue1292/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze psl_next_event_e.vhdl
+elab_simulate psl_next_event_e
+
+clean
+
+echo "Test successful"