aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1361/repro3err.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-06-13 18:58:08 +0200
committerTristan Gingold <tgingold@free.fr>2020-06-13 18:58:08 +0200
commit9f99eee0818eafb3c544181c4ad2eda00d59e5ce (patch)
treef6c6a26a8a4ad3cebe02d6ddca6e14e9520ca526 /testsuite/gna/issue1361/repro3err.vhdl
parent71a81bae09057650e72802bc39f9ebe97b7e6bd8 (diff)
downloadghdl-9f99eee0818eafb3c544181c4ad2eda00d59e5ce.tar.gz
ghdl-9f99eee0818eafb3c544181c4ad2eda00d59e5ce.tar.bz2
ghdl-9f99eee0818eafb3c544181c4ad2eda00d59e5ce.zip
testsuite/gna: add a test for #1361
Diffstat (limited to 'testsuite/gna/issue1361/repro3err.vhdl')
-rw-r--r--testsuite/gna/issue1361/repro3err.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue1361/repro3err.vhdl b/testsuite/gna/issue1361/repro3err.vhdl
new file mode 100644
index 000000000..9d7b82007
--- /dev/null
+++ b/testsuite/gna/issue1361/repro3err.vhdl
@@ -0,0 +1,15 @@
+ENTITY repro3err IS
+END repro3err;
+
+ARCHITECTURE behav of repro3err IS
+ CONSTANT AddrRANGE : NATURAL := 16#0FFFFFF#;
+
+ TYPE MemArray IS ARRAY (0 TO AddrRANGE) OF bit_vector;
+begin
+ process
+ VARIABLE Mem : MemArray(open)(7 downto 0) := (OTHERS => x"00");
+ begin
+ Mem := (OTHERS => x"fff");
+ WAIT;
+ END PROCESS;
+END behav;