aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1384
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-06-30 18:43:46 +0200
committerTristan Gingold <tgingold@free.fr>2020-06-30 19:34:52 +0200
commite854f72b1ef2b83ded3812d2b913c4c30aaef86b (patch)
tree2ebdff5a341ff9771ee61f94ab12be2e8ff4d090 /testsuite/gna/issue1384
parent8ab2ac7308cde26aa3fd7e9c5caddc8f789911ef (diff)
downloadghdl-e854f72b1ef2b83ded3812d2b913c4c30aaef86b.tar.gz
ghdl-e854f72b1ef2b83ded3812d2b913c4c30aaef86b.tar.bz2
ghdl-e854f72b1ef2b83ded3812d2b913c4c30aaef86b.zip
testsuite/gna: add a test for #1384
Diffstat (limited to 'testsuite/gna/issue1384')
-rw-r--r--testsuite/gna/issue1384/tb_issue.vhdl22
-rwxr-xr-xtestsuite/gna/issue1384/testsuite.sh9
2 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue1384/tb_issue.vhdl b/testsuite/gna/issue1384/tb_issue.vhdl
new file mode 100644
index 000000000..88e2ed629
--- /dev/null
+++ b/testsuite/gna/issue1384/tb_issue.vhdl
@@ -0,0 +1,22 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity tb_issue is
+end entity tb_issue;
+
+architecture dataflow of tb_issue is
+
+ type arec is record
+ member : std_logic_vector(31 downto 0);
+ end record arec;
+
+ signal a : arec;
+
+begin
+
+ process
+ begin
+ a.member <= (0 => '1', others => '0');
+ end process;
+
+end architecture dataflow;
diff --git a/testsuite/gna/issue1384/testsuite.sh b/testsuite/gna/issue1384/testsuite.sh
new file mode 100755
index 000000000..53a62bcde
--- /dev/null
+++ b/testsuite/gna/issue1384/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze -O3 tb_issue.vhdl
+
+clean
+
+echo "Test successful"