aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1387
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-02 18:25:40 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-02 18:25:40 +0200
commit85173a4dedb85418bd76c8a762fdd4b770f6385e (patch)
tree19629e5dd965ff4283c908e742f893631f505cfc /testsuite/gna/issue1387
parent0948af90416fe146923b8d1e89c7a86bb17efe66 (diff)
downloadghdl-85173a4dedb85418bd76c8a762fdd4b770f6385e.tar.gz
ghdl-85173a4dedb85418bd76c8a762fdd4b770f6385e.tar.bz2
ghdl-85173a4dedb85418bd76c8a762fdd4b770f6385e.zip
testsuite/gna: add a test for #1387
Diffstat (limited to 'testsuite/gna/issue1387')
-rw-r--r--testsuite/gna/issue1387/repro1.vhdl15
-rwxr-xr-xtestsuite/gna/issue1387/testsuite.sh10
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue1387/repro1.vhdl b/testsuite/gna/issue1387/repro1.vhdl
new file mode 100644
index 000000000..4422c81cc
--- /dev/null
+++ b/testsuite/gna/issue1387/repro1.vhdl
@@ -0,0 +1,15 @@
+package support_pkg is
+ function ">" ( l,r : integer ) return bit;
+end support_pkg;
+
+use work.support_pkg.all;
+
+entity repro1 is
+end;
+
+architecture behav of repro1 is
+ signal sov : bit_vector(0 to 21);
+begin
+ cfg : if sov'length > 1 generate
+ end generate;
+end;
diff --git a/testsuite/gna/issue1387/testsuite.sh b/testsuite/gna/issue1387/testsuite.sh
new file mode 100755
index 000000000..2251495f1
--- /dev/null
+++ b/testsuite/gna/issue1387/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro1.vhdl
+
+clean
+
+echo "Test successful"