aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1631/bug3.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-02-06 08:46:48 +0100
committerTristan Gingold <tgingold@free.fr>2021-02-06 12:27:27 +0100
commit982f1d7ce9c8f563780a52714df98469bf58721e (patch)
treefe88fdf63f03b1112cdfc476fc9ebb3b3d1fa3eb /testsuite/gna/issue1631/bug3.vhdl
parent3a7a0a67d4289e2559afe751a64a9a097d2e1a3a (diff)
downloadghdl-982f1d7ce9c8f563780a52714df98469bf58721e.tar.gz
ghdl-982f1d7ce9c8f563780a52714df98469bf58721e.tar.bz2
ghdl-982f1d7ce9c8f563780a52714df98469bf58721e.zip
testsuite/gna: add a test for #1631
Diffstat (limited to 'testsuite/gna/issue1631/bug3.vhdl')
-rw-r--r--testsuite/gna/issue1631/bug3.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/gna/issue1631/bug3.vhdl b/testsuite/gna/issue1631/bug3.vhdl
new file mode 100644
index 000000000..48d8f9c03
--- /dev/null
+++ b/testsuite/gna/issue1631/bug3.vhdl
@@ -0,0 +1,7 @@
+entity bug3 is
+end;
+
+architecture behavior of bug3 is
+begin
+ name(1 downto 0);
+end behavior;