aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue223
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-12 20:22:24 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-12 20:22:24 +0100
commit36b5b63ef90d7311c483e6adcbf22adf3e31174c (patch)
treefa1f3d44be7459d193140b1f69601f60bfa52d0d /testsuite/gna/issue223
parentb0082f134ff7f4226f99990778adce637b5b52f1 (diff)
downloadghdl-36b5b63ef90d7311c483e6adcbf22adf3e31174c.tar.gz
ghdl-36b5b63ef90d7311c483e6adcbf22adf3e31174c.tar.bz2
ghdl-36b5b63ef90d7311c483e6adcbf22adf3e31174c.zip
Add testcase for #223
Diffstat (limited to 'testsuite/gna/issue223')
-rw-r--r--testsuite/gna/issue223/repro.vhdl20
-rwxr-xr-xtestsuite/gna/issue223/testsuite.sh9
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/gna/issue223/repro.vhdl b/testsuite/gna/issue223/repro.vhdl
new file mode 100644
index 000000000..734c240e1
--- /dev/null
+++ b/testsuite/gna/issue223/repro.vhdl
@@ -0,0 +1,20 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_arith.ALL;
+
+PACKAGE test_pkg IS
+
+ PROCEDURE test (
+ arg : IN std_ulogic_vector := std_ulogic_vector(conv_unsigned(-1, 8)));
+
+END PACKAGE test_pkg;
+
+PACKAGE BODY test_pkg IS
+
+ PROCEDURE test (
+ arg : IN std_ulogic_vector := std_ulogic_vector(conv_unsigned(-1, 8))) IS
+ BEGIN
+
+ END PROCEDURE test;
+
+END PACKAGE BODY test_pkg;
diff --git a/testsuite/gna/issue223/testsuite.sh b/testsuite/gna/issue223/testsuite.sh
new file mode 100755
index 000000000..81567ac6d
--- /dev/null
+++ b/testsuite/gna/issue223/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze --ieee=synopsys repro.vhdl
+
+clean
+
+echo "Test successful"