diff options
author | Tristan Gingold <tgingold@free.fr> | 2017-01-12 21:05:02 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2017-01-13 18:57:24 +0100 |
commit | 1283370d289abafce1c42d0c952b759578ae7ac1 (patch) | |
tree | 4a5c70490e691b095627990a401217232efd5c25 /testsuite/gna/issue254/e.vhdl | |
parent | f960173971d17c338b034d84cb80a4bf437a292c (diff) | |
download | ghdl-1283370d289abafce1c42d0c952b759578ae7ac1.tar.gz ghdl-1283370d289abafce1c42d0c952b759578ae7ac1.tar.bz2 ghdl-1283370d289abafce1c42d0c952b759578ae7ac1.zip |
Add testcase for #254
Diffstat (limited to 'testsuite/gna/issue254/e.vhdl')
-rw-r--r-- | testsuite/gna/issue254/e.vhdl | 16 |
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/issue254/e.vhdl b/testsuite/gna/issue254/e.vhdl new file mode 100644 index 000000000..214845932 --- /dev/null +++ b/testsuite/gna/issue254/e.vhdl @@ -0,0 +1,16 @@ +entity e is +end entity; + +architecture a of e is +begin + process + type LongInteger is range -2**47 to 2**47-1; + variable v : LongInteger; + begin + v := 12345678901; + report "v = " & LongInteger'image(v) severity note; + -- report "v = " & to_string(v) severity note; -- works + -- report "v = " & to_string(LongInteger'pos(v)) severity note; -- works + wait; + end process; +end architecture; |