aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue326/bar.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-03-27 20:27:12 +0200
committerTristan Gingold <tgingold@free.fr>2017-03-27 20:27:12 +0200
commit66fb7b8fb58cd6f2046e57175d2997dd86370b9e (patch)
tree67010cab5f8543fac5ff97bca52176b810eb2c79 /testsuite/gna/issue326/bar.vhdl
parent69a6b07493dc643aa856ff3557f4446cfce01265 (diff)
downloadghdl-66fb7b8fb58cd6f2046e57175d2997dd86370b9e.tar.gz
ghdl-66fb7b8fb58cd6f2046e57175d2997dd86370b9e.tar.bz2
ghdl-66fb7b8fb58cd6f2046e57175d2997dd86370b9e.zip
Testcase for #326
Diffstat (limited to 'testsuite/gna/issue326/bar.vhdl')
-rw-r--r--testsuite/gna/issue326/bar.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue326/bar.vhdl b/testsuite/gna/issue326/bar.vhdl
new file mode 100644
index 000000000..3638a1426
--- /dev/null
+++ b/testsuite/gna/issue326/bar.vhdl
@@ -0,0 +1,11 @@
+entity bar is
+ port(a, b: out bit);
+end entity bar;
+architecture gub of bar is
+begin
+ a <= '0', '1' after 1 ns, '0' after 2 ns, '1' after 3 ns;
+ process(all)
+ begin
+ b <= not a;
+ end process;
+end architecture gub;