aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue388
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-07-22 21:05:45 +0200
committerTristan Gingold <tgingold@free.fr>2017-07-22 21:05:45 +0200
commit6fe0f5056de4e1287841a6f58dddc220829e8263 (patch)
tree4fe424b7437bfb4b7ca53c75926fd72bd8f53bac /testsuite/gna/issue388
parentf670551a30171a4c6b9fe984b8c12c9bbb55b684 (diff)
downloadghdl-6fe0f5056de4e1287841a6f58dddc220829e8263.tar.gz
ghdl-6fe0f5056de4e1287841a6f58dddc220829e8263.tar.bz2
ghdl-6fe0f5056de4e1287841a6f58dddc220829e8263.zip
Add testcase for #388
Diffstat (limited to 'testsuite/gna/issue388')
-rw-r--r--testsuite/gna/issue388/e.vhdl8
-rwxr-xr-xtestsuite/gna/issue388/testsuite.sh9
2 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue388/e.vhdl b/testsuite/gna/issue388/e.vhdl
new file mode 100644
index 000000000..a004cb0c5
--- /dev/null
+++ b/testsuite/gna/issue388/e.vhdl
@@ -0,0 +1,8 @@
+entity e is end entity;
+architecture a of e is
+ type enu is (one, two);
+ function one return enu is begin
+ return two;
+ end function;
+begin
+end architecture;
diff --git a/testsuite/gna/issue388/testsuite.sh b/testsuite/gna/issue388/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue388/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"