aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue654
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-09-16 08:25:33 +0200
committerTristan Gingold <tgingold@free.fr>2018-09-16 08:25:33 +0200
commitab9c32a997c7c660c5c6a462d6a224a88b327da1 (patch)
tree45faaee5d0a2a19d32f7a7bc3daacb3ceba1b9ac /testsuite/gna/issue654
parent20ce64f00c737aa18b785bfb1b84c1137dff8948 (diff)
downloadghdl-ab9c32a997c7c660c5c6a462d6a224a88b327da1.tar.gz
ghdl-ab9c32a997c7c660c5c6a462d6a224a88b327da1.tar.bz2
ghdl-ab9c32a997c7c660c5c6a462d6a224a88b327da1.zip
Add testcase for #654
Diffstat (limited to 'testsuite/gna/issue654')
-rw-r--r--testsuite/gna/issue654/ent.vhdl8
-rwxr-xr-xtestsuite/gna/issue654/testsuite.sh10
2 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue654/ent.vhdl b/testsuite/gna/issue654/ent.vhdl
new file mode 100644
index 000000000..97c672383
--- /dev/null
+++ b/testsuite/gna/issue654/ent.vhdl
@@ -0,0 +1,8 @@
+entity ent is
+end ent;
+
+architecture behav of ent is
+ signal s : bit;
+begin
+ s <= not s;
+end behav;
diff --git a/testsuite/gna/issue654/testsuite.sh b/testsuite/gna/issue654/testsuite.sh
new file mode 100755
index 000000000..9bc0d1b47
--- /dev/null
+++ b/testsuite/gna/issue654/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze ent.vhdl
+elab_simulate ent
+
+clean
+
+echo "Test successful"