aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue797/repro.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-04-15 20:36:07 +0200
committerTristan Gingold <tgingold@free.fr>2019-04-15 20:36:07 +0200
commit3e0a352ff5ea20c6cf59be4cb09b223f218f02c3 (patch)
tree44778b5541655bc2e0a2ffb94059eaf01563d46d /testsuite/gna/issue797/repro.vhdl
parent898ef1b4e181e4bf46c045f6e56fb70ef8e5b04d (diff)
downloadghdl-3e0a352ff5ea20c6cf59be4cb09b223f218f02c3.tar.gz
ghdl-3e0a352ff5ea20c6cf59be4cb09b223f218f02c3.tar.bz2
ghdl-3e0a352ff5ea20c6cf59be4cb09b223f218f02c3.zip
Add reproducer for #797
Diffstat (limited to 'testsuite/gna/issue797/repro.vhdl')
-rw-r--r--testsuite/gna/issue797/repro.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue797/repro.vhdl b/testsuite/gna/issue797/repro.vhdl
new file mode 100644
index 000000000..f426ac1d0
--- /dev/null
+++ b/testsuite/gna/issue797/repro.vhdl
@@ -0,0 +1,21 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ type byte_vector_access_t is access string;
+
+ procedure set(index : natural; c : character) is
+ variable v : byte_vector_access_t(1 to integer'high);
+ begin
+ v(index+1) := c;
+ end;
+begin
+ process
+ begin
+ if now > 1 ns then
+ set (1, 'a');
+ end if;
+ wait;
+ end process;
+end behav;
+