aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue810
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-05-07 18:46:07 +0200
committerTristan Gingold <tgingold@free.fr>2019-05-07 18:46:07 +0200
commit4f2bc63cc7adac40622af38e543b2ad72fb0e593 (patch)
treeeb6805bab5e8ddfd5c4a6499cad4a72406694855 /testsuite/gna/issue810
parenta2c0447aeb1e1b3fca1d84716a2a97a5f65d3c30 (diff)
downloadghdl-4f2bc63cc7adac40622af38e543b2ad72fb0e593.tar.gz
ghdl-4f2bc63cc7adac40622af38e543b2ad72fb0e593.tar.bz2
ghdl-4f2bc63cc7adac40622af38e543b2ad72fb0e593.zip
Add testcase for issue #810
Diffstat (limited to 'testsuite/gna/issue810')
-rw-r--r--testsuite/gna/issue810/nullrng.vhdl10
-rwxr-xr-xtestsuite/gna/issue810/testsuite.sh3
2 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue810/nullrng.vhdl b/testsuite/gna/issue810/nullrng.vhdl
new file mode 100644
index 000000000..caa493df4
--- /dev/null
+++ b/testsuite/gna/issue810/nullrng.vhdl
@@ -0,0 +1,10 @@
+package nullrng is
+
+ type my_time is range -integer'low to integer'high units
+ fs; ps= 1000 fs; ns= 1000 ps; us= 1000 ns; -- very short
+ ms= 1000 us; sec= 1000 ms; min= 60 sec; hr= 60 min; -- longer
+ end units;
+ type my_empty_range is range -(-8) to 7;
+ type my_small_range is range -8 to 7;
+
+end;
diff --git a/testsuite/gna/issue810/testsuite.sh b/testsuite/gna/issue810/testsuite.sh
index 7da7af956..fc33d532e 100755
--- a/testsuite/gna/issue810/testsuite.sh
+++ b/testsuite/gna/issue810/testsuite.sh
@@ -5,6 +5,9 @@
analyze repro.vhdl
elab_simulate repro
+analyze nullrng.vhdl
+analyze_failure -Werror nullrng.vhdl
+
clean
echo "Test successful"