aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket11
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2014-03-29 04:50:08 +0100
committerTristan Gingold <tgingold@free.fr>2014-03-29 04:50:08 +0100
commitbf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9 (patch)
tree3f2337917b3c5c696d6164e890aa6887151692f6 /testsuite/gna/ticket11
parent7f7fdf816df6c7dcb24f202c6c88f1efcd752f29 (diff)
downloadghdl-bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9.tar.gz
ghdl-bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9.tar.bz2
ghdl-bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9.zip
Add more cases in ticket11.
Diffstat (limited to 'testsuite/gna/ticket11')
-rw-r--r--testsuite/gna/ticket11/tb2.vhdl22
-rw-r--r--testsuite/gna/ticket11/tb3.vhdl24
-rwxr-xr-xtestsuite/gna/ticket11/testsuite.sh6
3 files changed, 52 insertions, 0 deletions
diff --git a/testsuite/gna/ticket11/tb2.vhdl b/testsuite/gna/ticket11/tb2.vhdl
new file mode 100644
index 000000000..4ba80e162
--- /dev/null
+++ b/testsuite/gna/ticket11/tb2.vhdl
@@ -0,0 +1,22 @@
+entity tb2 is
+end entity;
+
+architecture arch of tb2 is
+ signal s: integer := 0;
+ signal s2: integer := 0;
+begin
+ process is
+ begin
+ wait for 1 us;
+ s2 <= 3;
+ s <= 1;
+ s <= 2 after 1 us;
+ assert s = 0;
+ wait on s;
+ report "s = " & integer'image(s);
+ assert s = 2 severity failure;
+ assert now = 2 us severity failure;
+ wait;
+ end process;
+
+end architecture;
diff --git a/testsuite/gna/ticket11/tb3.vhdl b/testsuite/gna/ticket11/tb3.vhdl
new file mode 100644
index 000000000..690c0ef48
--- /dev/null
+++ b/testsuite/gna/ticket11/tb3.vhdl
@@ -0,0 +1,24 @@
+entity tb3 is
+end entity;
+
+architecture arch of tb3 is
+ signal s: integer := 0;
+begin
+ process is
+ begin
+ wait for 1 us;
+ s <= 1;
+ s <= reject 1 ns inertial 2 after 2 us;
+ assert s = 0;
+ wait on s;
+ report "s = " & integer'image(s);
+ assert s = 1 severity failure;
+ assert now = 1 us severity failure;
+ wait on s;
+ report "s = " & integer'image(s);
+ assert s = 2 severity failure;
+ assert now = 3 us severity failure;
+ wait;
+ end process;
+
+end architecture;
diff --git a/testsuite/gna/ticket11/testsuite.sh b/testsuite/gna/ticket11/testsuite.sh
index 7226710c9..0a5f3ab73 100755
--- a/testsuite/gna/ticket11/testsuite.sh
+++ b/testsuite/gna/ticket11/testsuite.sh
@@ -5,6 +5,12 @@
analyze signalevents.vhdl
elab_simulate tb
+analyze tb2.vhdl
+elab_simulate tb2
+
+analyze tb3.vhdl
+elab_simulate tb3
+
clean
echo "Test successful"