aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket37/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-03-14 14:09:00 +0100
committerTristan Gingold <tgingold@free.fr>2015-03-14 14:09:00 +0100
commit14df57a76e86ba265e5af45f75fb824323577c5a (patch)
treebe29b14fbb2c92f046e20adcf2c800b74f881a9f /testsuite/gna/ticket37/testsuite.sh
parentf82a4c49a364b78f13bdbecf99bd11cf8734978e (diff)
downloadghdl-14df57a76e86ba265e5af45f75fb824323577c5a.tar.gz
ghdl-14df57a76e86ba265e5af45f75fb824323577c5a.tar.bz2
ghdl-14df57a76e86ba265e5af45f75fb824323577c5a.zip
generic override: handle enumerated types.
Diffstat (limited to 'testsuite/gna/ticket37/testsuite.sh')
-rwxr-xr-xtestsuite/gna/ticket37/testsuite.sh22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/ticket37/testsuite.sh b/testsuite/gna/ticket37/testsuite.sh
index 0bbd20769..f662f7117 100755
--- a/testsuite/gna/ticket37/testsuite.sh
+++ b/testsuite/gna/ticket37/testsuite.sh
@@ -15,6 +15,28 @@ simulate genint -gVal=-159
simulate_failure genint -gval=200
+analyze genchar.vhdl
+elab_simulate_failure genchar
+
+simulate genchar -gVAL=ack
+simulate genchar -gVAL="'A'"
+simulate genchar -gVAL="'z'"
+simulate_failure genchar -gVAL="'0'"
+simulate_failure genchar -gVAL=A
+
+analyze genbool.vhdl
+elab_simulate_failure genbool
+
+simulate genbool -gval=true
+simulate genbool -gval=" True"
+
+analyze genlogic.vhdl
+elab_simulate_failure genlogic
+
+simulate genlogic -gvaL="'1'"
+simulate genlogic -gvaL="'H'"
+simulate_failure genlogic -gvaL="'L'"
+
clean
echo "Test successful"