aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket38
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-03-01 06:53:20 +0100
committerTristan Gingold <tgingold@free.fr>2015-03-01 06:53:20 +0100
commitc8e807bf051b678dc6424c6c5004957399d1c377 (patch)
treec4ff5cdc1571d56b550c5269846d880b93ec7642 /testsuite/gna/ticket38
parent54b28e858d32e4afa079ab3af086e99ad54becf6 (diff)
downloadghdl-c8e807bf051b678dc6424c6c5004957399d1c377.tar.gz
ghdl-c8e807bf051b678dc6424c6c5004957399d1c377.tar.bz2
ghdl-c8e807bf051b678dc6424c6c5004957399d1c377.zip
Add test for ticket 38.
Diffstat (limited to 'testsuite/gna/ticket38')
-rw-r--r--testsuite/gna/ticket38/associate.vhdl32
-rwxr-xr-xtestsuite/gna/ticket38/testsuite.sh10
2 files changed, 42 insertions, 0 deletions
diff --git a/testsuite/gna/ticket38/associate.vhdl b/testsuite/gna/ticket38/associate.vhdl
new file mode 100644
index 000000000..1d302ca9b
--- /dev/null
+++ b/testsuite/gna/ticket38/associate.vhdl
@@ -0,0 +1,32 @@
+
+entity test_ent is
+ port (
+ input: integer
+ );
+end entity;
+
+architecture test of test_ent is
+
+begin
+
+end architecture;
+
+entity associate is
+end entity;
+
+architecture test of associate is
+ component test_ent is
+ port (
+ input: integer
+ );
+ end component;
+begin
+gen_label:
+ for i in 0 to 11 generate
+ genx:
+ test_ent
+ port map (
+ input => i
+ );
+ end generate;
+end architecture;
diff --git a/testsuite/gna/ticket38/testsuite.sh b/testsuite/gna/ticket38/testsuite.sh
new file mode 100755
index 000000000..e42bf6b42
--- /dev/null
+++ b/testsuite/gna/ticket38/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze associate.vhdl
+elab_simulate associate
+
+clean
+
+echo "Test successful"