aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket62
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-15 03:53:02 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-15 03:53:02 +0200
commit4d370179c85343594e10999b66b3d014e2c552be (patch)
tree40a87ea1141dfbb9edf7a57b3835d9cb7b04c8c1 /testsuite/gna/ticket62
parent1c9d81caa66995a20656e08168f5847707769858 (diff)
downloadghdl-4d370179c85343594e10999b66b3d014e2c552be.tar.gz
ghdl-4d370179c85343594e10999b66b3d014e2c552be.tar.bz2
ghdl-4d370179c85343594e10999b66b3d014e2c552be.zip
Testcase for ticket 62.
Diffstat (limited to 'testsuite/gna/ticket62')
-rw-r--r--testsuite/gna/ticket62/repro.vhdl22
-rwxr-xr-xtestsuite/gna/ticket62/testsuite.sh16
2 files changed, 38 insertions, 0 deletions
diff --git a/testsuite/gna/ticket62/repro.vhdl b/testsuite/gna/ticket62/repro.vhdl
new file mode 100644
index 000000000..349b99729
--- /dev/null
+++ b/testsuite/gna/ticket62/repro.vhdl
@@ -0,0 +1,22 @@
+entity ent is
+end;
+
+architecture behav of ent is
+ shared variable v : integer;
+begin
+ process
+ begin
+ v := 2;
+ wait for 2 ns;
+ assert v = 5 severity failure;
+ wait;
+ end process;
+
+ process
+ begin
+ wait for 1 ns;
+ assert v = 2 severity failure;
+ v := 5;
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/ticket62/testsuite.sh b/testsuite/gna/ticket62/testsuite.sh
new file mode 100755
index 000000000..15b7a8f7c
--- /dev/null
+++ b/testsuite/gna/ticket62/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate ent
+clean
+
+GHDL_STD_FLAGS=--std=08
+
+analyze_failure repro.vhdl
+analyze -frelaxed-rules repro.vhdl
+elab_simulate -frelaxed-rules ent
+clean
+
+echo "Test successful"