aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket75
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-20 21:42:33 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-20 21:42:33 +0200
commit7abaf412c73a0f00f812ee05d7bf5a304e83549c (patch)
treeb2dfda212364fa4c3cb71488abb5355292495fbc /testsuite/gna/ticket75
parent8b96a998627521e45e92b44a52d09853c73ca625 (diff)
downloadghdl-7abaf412c73a0f00f812ee05d7bf5a304e83549c.tar.gz
ghdl-7abaf412c73a0f00f812ee05d7bf5a304e83549c.tar.bz2
ghdl-7abaf412c73a0f00f812ee05d7bf5a304e83549c.zip
Testcase for ticket75.
Diffstat (limited to 'testsuite/gna/ticket75')
-rw-r--r--testsuite/gna/ticket75/bug.vhdl14
-rwxr-xr-xtestsuite/gna/ticket75/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/ticket75/bug.vhdl b/testsuite/gna/ticket75/bug.vhdl
new file mode 100644
index 000000000..38f5f5727
--- /dev/null
+++ b/testsuite/gna/ticket75/bug.vhdl
@@ -0,0 +1,14 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ main : process
+ begin
+ assert bit'value("'0'") = '0'; -- Works
+ assert bit'value("'1'") = '1'; -- Works
+ assert bit'value("0") = '0'; -- Fails
+ assert bit'value("1") = '1'; -- Fails
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket75/testsuite.sh b/testsuite/gna/ticket75/testsuite.sh
new file mode 100755
index 000000000..9cf7f9761
--- /dev/null
+++ b/testsuite/gna/ticket75/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze bug.vhdl
+elab_simulate_failure ent
+clean
+
+echo "Test successful"