aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket78
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-20 21:58:14 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-20 21:58:14 +0200
commitbd1885c3d60019a28bb8261d8a17cef5533d27f9 (patch)
tree260e0db8f5a2f644278c90a97b2fe7d795ba38c5 /testsuite/gna/ticket78
parent14e58cb98b543ae1402bf608632d459f03e755e5 (diff)
downloadghdl-bd1885c3d60019a28bb8261d8a17cef5533d27f9.tar.gz
ghdl-bd1885c3d60019a28bb8261d8a17cef5533d27f9.tar.bz2
ghdl-bd1885c3d60019a28bb8261d8a17cef5533d27f9.zip
Testcase for ticket 78.
Diffstat (limited to 'testsuite/gna/ticket78')
-rw-r--r--testsuite/gna/ticket78/bug.vhdl16
-rwxr-xr-xtestsuite/gna/ticket78/testsuite.sh10
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/ticket78/bug.vhdl b/testsuite/gna/ticket78/bug.vhdl
new file mode 100644
index 000000000..5faa5952e
--- /dev/null
+++ b/testsuite/gna/ticket78/bug.vhdl
@@ -0,0 +1,16 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+ procedure proc(bv : bit_vector) is
+ begin
+ report to_string(bv'length);
+ end procedure;
+begin
+ main : process
+ variable bv : bit_vector(0 to 1);
+ begin
+ proc(bv);
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket78/testsuite.sh b/testsuite/gna/ticket78/testsuite.sh
new file mode 100755
index 000000000..e0096bb68
--- /dev/null
+++ b/testsuite/gna/ticket78/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+analyze bug.vhdl
+elab_simulate ent
+clean
+
+echo "Test successful"