aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-28 18:30:54 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-28 21:31:34 +0200
commit0fc5709d298031d2571185e261e4cdfb3c85102e (patch)
tree15effaa182e0338ffd2dce9bbb7f42f20ce8078f /testsuite/gna
parent7e7f0b08072381b9a4f1ae89172352ee7ee7fab8 (diff)
downloadghdl-0fc5709d298031d2571185e261e4cdfb3c85102e.tar.gz
ghdl-0fc5709d298031d2571185e261e4cdfb3c85102e.tar.bz2
ghdl-0fc5709d298031d2571185e261e4cdfb3c85102e.zip
testsuite/gna: add a test for #1480
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1480/file1.vhdl7
-rw-r--r--testsuite/gna/issue1480/file2.vhdl8
-rwxr-xr-xtestsuite/gna/issue1480/testsuite.sh11
3 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue1480/file1.vhdl b/testsuite/gna/issue1480/file1.vhdl
new file mode 100644
index 000000000..89f659114
--- /dev/null
+++ b/testsuite/gna/issue1480/file1.vhdl
@@ -0,0 +1,7 @@
+entity e is
+end entity;
+
+architecture a of e is
+begin
+end architecture;
+
diff --git a/testsuite/gna/issue1480/file2.vhdl b/testsuite/gna/issue1480/file2.vhdl
new file mode 100644
index 000000000..1a53284ac
--- /dev/null
+++ b/testsuite/gna/issue1480/file2.vhdl
@@ -0,0 +1,8 @@
+entity e is
+ port (clk : std_logic);
+end entity;
+
+architecture a of e is
+begin
+ assert rising_edge(clk);
+end architecture;
diff --git a/testsuite/gna/issue1480/testsuite.sh b/testsuite/gna/issue1480/testsuite.sh
new file mode 100755
index 000000000..6900d23aa
--- /dev/null
+++ b/testsuite/gna/issue1480/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze file1.vhdl
+analyze_failure file2.vhdl
+
+clean
+
+echo "Test successful"