aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-29 07:56:51 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-29 07:56:51 +0200
commita9eae0321eea5efb79d30ad0210e7c52f3701eac (patch)
tree25b89379d4b8ac04ec726b4d92be93a14e29b4af /testsuite/gna
parent7efd2f566be98c1052a3276ec0a308aaef72de7a (diff)
downloadghdl-a9eae0321eea5efb79d30ad0210e7c52f3701eac.tar.gz
ghdl-a9eae0321eea5efb79d30ad0210e7c52f3701eac.tar.bz2
ghdl-a9eae0321eea5efb79d30ad0210e7c52f3701eac.zip
testsuite/gna: add a test for #1473
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1473/test.vhdl17
-rwxr-xr-xtestsuite/gna/issue1473/testsuite.sh10
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue1473/test.vhdl b/testsuite/gna/issue1473/test.vhdl
new file mode 100644
index 000000000..975489cb4
--- /dev/null
+++ b/testsuite/gna/issue1473/test.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+
+entity test is
+end test;
+
+architecture test of test is
+
+ type values_t is array (0 to VALUES - 1) of boolean;
+ signal values: values_t;
+
+ type elem_t is (a, b, c);
+ type arr_t is array (0 to VALUES - 1) of elem_t;
+ signal arr: arr_t;
+
+begin
+ arr <= (others => a);
+end test;
diff --git a/testsuite/gna/issue1473/testsuite.sh b/testsuite/gna/issue1473/testsuite.sh
new file mode 100755
index 000000000..84ddbddba
--- /dev/null
+++ b/testsuite/gna/issue1473/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"