aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-08-26 05:07:25 +0200
committerTristan Gingold <tgingold@free.fr>2016-08-26 05:07:25 +0200
commitb11f2f9a723a2919b236ed6f2ffa8dd1cbc3c1f0 (patch)
treecbc084d5e4d2a0df561278aaa9d32cd0482991d1 /testsuite/gna
parent313d7236a7fafd130d27daa6f5e3beff3bfe08c9 (diff)
downloadghdl-b11f2f9a723a2919b236ed6f2ffa8dd1cbc3c1f0.tar.gz
ghdl-b11f2f9a723a2919b236ed6f2ffa8dd1cbc3c1f0.tar.bz2
ghdl-b11f2f9a723a2919b236ed6f2ffa8dd1cbc3c1f0.zip
Add testcase for issue #141.
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue141/e.vhdl6
-rwxr-xr-xtestsuite/gna/issue141/testsuite.sh11
2 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue141/e.vhdl b/testsuite/gna/issue141/e.vhdl
new file mode 100644
index 000000000..19bd2aa8b
--- /dev/null
+++ b/testsuite/gna/issue141/e.vhdl
@@ -0,0 +1,6 @@
+entity e is end entity;
+architecture a of e is
+ signal s :boolean;
+begin
+ assert not s;
+end architecture;
diff --git a/testsuite/gna/issue141/testsuite.sh b/testsuite/gna/issue141/testsuite.sh
new file mode 100755
index 000000000..48de7ade5
--- /dev/null
+++ b/testsuite/gna/issue141/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+
+analyze e.vhdl
+
+clean
+
+echo "Test successful"