aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-10-07 18:25:59 +0200
committerTristan Gingold <tgingold@free.fr>2020-10-07 18:50:10 +0200
commitc43f0a9519038e85fa8706f15bd2243e77ad7fca (patch)
treea131c9262f2907b92ab23191322d9299639a952d /testsuite/gna
parenta7339c23f9faff0f392ae7648fdea85bc1ad05d9 (diff)
downloadghdl-c43f0a9519038e85fa8706f15bd2243e77ad7fca.tar.gz
ghdl-c43f0a9519038e85fa8706f15bd2243e77ad7fca.tar.bz2
ghdl-c43f0a9519038e85fa8706f15bd2243e77ad7fca.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug0100/attr.vhdl7
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 8 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/attr.vhdl b/testsuite/gna/bug0100/attr.vhdl
new file mode 100644
index 000000000..b6047e144
--- /dev/null
+++ b/testsuite/gna/bug0100/attr.vhdl
@@ -0,0 +1,7 @@
+entity attr is
+end attr;
+
+architecture behav of attr is
+ attribute my_attr : ;
+begin
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 8c49a6073..fce617008 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -20,6 +20,7 @@ analyze_failure qual.vhdl
analyze_failure proctarg.vhdl
analyze_failure libparen.vhdl
analyze_failure --force-analysis badrng.vhdl
+analyze_failure --force-analysis attr.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: