aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-12 07:40:35 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-12 07:40:35 +0200
commitcd6476fd3bccad677afa347e67241d0a994209d1 (patch)
tree39c3feae0e53f6302d6eaef6d8b6034f2aeb416a /testsuite/gna
parent69b0dbb24c1ae374bd2f9c4291e93326471bd71b (diff)
downloadghdl-cd6476fd3bccad677afa347e67241d0a994209d1.tar.gz
ghdl-cd6476fd3bccad677afa347e67241d0a994209d1.tar.bz2
ghdl-cd6476fd3bccad677afa347e67241d0a994209d1.zip
testsuite/gna: add a testcase for #1461
Also adjust case #1015
Diffstat (limited to 'testsuite/gna')
-rwxr-xr-xtestsuite/gna/issue1015/testsuite.sh2
-rw-r--r--testsuite/gna/issue1461/mwe.vhdl12
-rwxr-xr-xtestsuite/gna/issue1461/testsuite.sh15
3 files changed, 28 insertions, 1 deletions
diff --git a/testsuite/gna/issue1015/testsuite.sh b/testsuite/gna/issue1015/testsuite.sh
index 6cfb281e8..39097a8ae 100755
--- a/testsuite/gna/issue1015/testsuite.sh
+++ b/testsuite/gna/issue1015/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-analyze_failure std.vhdl
+analyze_failure --std=02 std.vhdl
clean
diff --git a/testsuite/gna/issue1461/mwe.vhdl b/testsuite/gna/issue1461/mwe.vhdl
new file mode 100644
index 000000000..fd6a38c02
--- /dev/null
+++ b/testsuite/gna/issue1461/mwe.vhdl
@@ -0,0 +1,12 @@
+library mwe;
+
+entity mwe is
+end entity;
+
+architecture a of mwe is
+begin
+ process
+ begin
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue1461/testsuite.sh b/testsuite/gna/issue1461/testsuite.sh
new file mode 100755
index 000000000..612ef4796
--- /dev/null
+++ b/testsuite/gna/issue1461/testsuite.sh
@@ -0,0 +1,15 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS="--std=02 --work=mwe -frelaxed"
+analyze mwe.vhdl
+
+clean
+
+export GHDL_STD_FLAGS="--std=02 --work=mwe"
+analyze_failure mwe.vhdl
+
+clean
+
+echo "Test successful"