aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-08-29 20:50:12 +0200
committerTristan Gingold <tgingold@free.fr>2016-08-29 20:50:54 +0200
commitd6cb5a78af823c5405730ec71cbc7a1412621871 (patch)
treed4b285ac1e3c114dd52b0b9198294740d15087ad /testsuite/gna
parent74cf5244a5dfe11032eafd771569561cf4b9dcee (diff)
downloadghdl-d6cb5a78af823c5405730ec71cbc7a1412621871.tar.gz
ghdl-d6cb5a78af823c5405730ec71cbc7a1412621871.tar.bz2
ghdl-d6cb5a78af823c5405730ec71cbc7a1412621871.zip
Add testcase for issue #136
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue136/arch.vhdl4
-rw-r--r--testsuite/gna/issue136/args.resp3
-rw-r--r--testsuite/gna/issue136/ent.vhdl2
-rwxr-xr-xtestsuite/gna/issue136/testsuite.sh9
4 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue136/arch.vhdl b/testsuite/gna/issue136/arch.vhdl
new file mode 100644
index 000000000..241e81f84
--- /dev/null
+++ b/testsuite/gna/issue136/arch.vhdl
@@ -0,0 +1,4 @@
+architecture behav of tb is
+begin
+ assert false report "Hello world" severity note;
+end behav;
diff --git a/testsuite/gna/issue136/args.resp b/testsuite/gna/issue136/args.resp
new file mode 100644
index 000000000..72f8a1515
--- /dev/null
+++ b/testsuite/gna/issue136/args.resp
@@ -0,0 +1,3 @@
+--xref-html
+ent.vhdl
+arch.vhdl
diff --git a/testsuite/gna/issue136/ent.vhdl b/testsuite/gna/issue136/ent.vhdl
new file mode 100644
index 000000000..2aed1a994
--- /dev/null
+++ b/testsuite/gna/issue136/ent.vhdl
@@ -0,0 +1,2 @@
+entity tb is
+end tb;
diff --git a/testsuite/gna/issue136/testsuite.sh b/testsuite/gna/issue136/testsuite.sh
new file mode 100755
index 000000000..2ed09b93d
--- /dev/null
+++ b/testsuite/gna/issue136/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+$GHDL @args.resp
+
+clean
+
+echo "Test successful"