aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-28 22:31:07 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-28 22:31:07 +0200
commitdad97747b2cbc66f465d89aa4d262f1780aaac73 (patch)
tree21966d3ed219ebf2f912fe0253c6a93a0daea0bc /testsuite/gna
parent03bf6579771e3ec70b2ead74b9bc159d655b6c26 (diff)
downloadghdl-dad97747b2cbc66f465d89aa4d262f1780aaac73.tar.gz
ghdl-dad97747b2cbc66f465d89aa4d262f1780aaac73.tar.bz2
ghdl-dad97747b2cbc66f465d89aa4d262f1780aaac73.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue156/repro1.vhdl24
-rwxr-xr-xtestsuite/gna/issue156/testsuite.sh1
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue156/repro1.vhdl b/testsuite/gna/issue156/repro1.vhdl
new file mode 100644
index 000000000..6dc060c2e
--- /dev/null
+++ b/testsuite/gna/issue156/repro1.vhdl
@@ -0,0 +1,24 @@
+entity repro1 is
+port (
+ in_valid : in bit;
+ in_ready : out bit);
+end;
+
+architecture rtl of repro1 is
+ subtype ret_split_t is integer range 1 to 2;
+
+ signal ready: bit_vector(0 to 3);
+ signal valid: bit_vector(0 to 3);
+
+ procedure split_stream (
+ signal outcomb : out bit_vector;
+ signal incomb : in bit_vector)
+ is
+ begin
+ outcomb <= (outcomb'range => '1');
+ end procedure split_stream;
+
+begin
+ split_stream (outcomb => valid(ret_split_t),
+ incomb => ready(ret_split_t));
+end rtl;
diff --git a/testsuite/gna/issue156/testsuite.sh b/testsuite/gna/issue156/testsuite.sh
index 77e8d7f9e..5cfa5f597 100755
--- a/testsuite/gna/issue156/testsuite.sh
+++ b/testsuite/gna/issue156/testsuite.sh
@@ -3,6 +3,7 @@
. ../../testenv.sh
analyze compile_error.vhdl
+analyze repro1.vhdl
clean
echo "Test successful"