aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-19 02:22:36 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-19 15:25:07 +0200
commitd9a096facfde93a78f1ce7546bb4f34f4e3cbde1 (patch)
tree6a597ea2b7196fdff3b70a48dbaa4383ed261ccc /testsuite/pyunit
parent5764b4a86c3389ed0388c9382a112640a04dc0b0 (diff)
downloadghdl-d9a096facfde93a78f1ce7546bb4f34f4e3cbde1.tar.gz
ghdl-d9a096facfde93a78f1ce7546bb4f34f4e3cbde1.tar.bz2
ghdl-d9a096facfde93a78f1ce7546bb4f34f4e3cbde1.zip
Improvements to pyGHDL.dom.
Diffstat (limited to 'testsuite/pyunit')
-rw-r--r--testsuite/pyunit/SimpleEntity.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl
index 2a076d124..12068c06d 100644
--- a/testsuite/pyunit/SimpleEntity.vhdl
+++ b/testsuite/pyunit/SimpleEntity.vhdl
@@ -4,7 +4,7 @@ use ieee.numeric_std.all;
entity entity_1 is
generic (
- FREQ : real := -25.7;
+ FREQ : real := 100.0;
BITS : positive := 8
);
port (