aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/tb_dff13.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-31 20:43:35 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-31 20:43:35 +0200
commite02c802538cd52c55f881118380fa0d06da3acce (patch)
treef770b3e91efbc4322a07d63f3c8c9d9ff92ea7d9 /testsuite/synth/dff01/tb_dff13.vhdl
parentf6d4d0d916e772d3e21701f53a7dbb6b0abe756d (diff)
downloadghdl-e02c802538cd52c55f881118380fa0d06da3acce.tar.gz
ghdl-e02c802538cd52c55f881118380fa0d06da3acce.tar.bz2
ghdl-e02c802538cd52c55f881118380fa0d06da3acce.zip
synth: add a dff test.
Diffstat (limited to 'testsuite/synth/dff01/tb_dff13.vhdl')
-rw-r--r--testsuite/synth/dff01/tb_dff13.vhdl40
1 files changed, 40 insertions, 0 deletions
diff --git a/testsuite/synth/dff01/tb_dff13.vhdl b/testsuite/synth/dff01/tb_dff13.vhdl
new file mode 100644
index 000000000..22adb53d1
--- /dev/null
+++ b/testsuite/synth/dff01/tb_dff13.vhdl
@@ -0,0 +1,40 @@
+entity tb_dff13 is
+end tb_dff13;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_dff13 is
+ signal clk : std_logic;
+ signal din : std_logic;
+ signal dout : std_logic;
+begin
+ dut: entity work.dff13
+ port map (
+ q => dout,
+ d => din,
+ clk => clk);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+ pulse;
+ assert dout = '1' severity failure;
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ wait;
+ end process;
+end behav;