diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-05-03 19:31:37 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-05-04 19:04:10 +0200 |
commit | 6d6ee6778edcbca12bc322839c061fe788bb86ba (patch) | |
tree | 96abbee06dc1fa9148af5ca5be0da56fb7acb23e /testsuite/synth/int01/prio02.vhdl | |
parent | a5f991845596105b0476b219822f7ad8c7c857c8 (diff) | |
download | ghdl-6d6ee6778edcbca12bc322839c061fe788bb86ba.tar.gz ghdl-6d6ee6778edcbca12bc322839c061fe788bb86ba.tar.bz2 ghdl-6d6ee6778edcbca12bc322839c061fe788bb86ba.zip |
testsuite/synth: add a regression test for previous commits.
Diffstat (limited to 'testsuite/synth/int01/prio02.vhdl')
-rw-r--r-- | testsuite/synth/int01/prio02.vhdl | 25 |
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/int01/prio02.vhdl b/testsuite/synth/int01/prio02.vhdl new file mode 100644 index 000000000..d6b164d19 --- /dev/null +++ b/testsuite/synth/int01/prio02.vhdl @@ -0,0 +1,25 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity prio02 is + port (dat : std_logic_vector(15 downto 0); + prio : out natural); +end; + +architecture behav of prio02 is + function prioritize(b : std_logic_vector(15 downto 0)) return natural + is + variable level : integer range 0 to 15; + begin + level := 0; + for i in 15 downto 0 loop + level := i; + if b(i) = '1' then exit; end if; + end loop; + return level; + end; +begin + prio <= prioritize (dat); +end; + |