diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-01-19 17:32:11 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-01-19 17:32:11 +0100 |
commit | 0c05b9dd951150f8a26ad89b61b8f4e3a29364f9 (patch) | |
tree | a3b150191799e5f5fa8b26fea8e54840839a0495 /testsuite/synth/issue1100/tb_repro.vhdl | |
parent | d8148c335bc63c84ff4e2b07d0a6cbe1ec014206 (diff) | |
download | ghdl-0c05b9dd951150f8a26ad89b61b8f4e3a29364f9.tar.gz ghdl-0c05b9dd951150f8a26ad89b61b8f4e3a29364f9.tar.bz2 ghdl-0c05b9dd951150f8a26ad89b61b8f4e3a29364f9.zip |
testsuite/synth: add test for #1100.
Diffstat (limited to 'testsuite/synth/issue1100/tb_repro.vhdl')
-rw-r--r-- | testsuite/synth/issue1100/tb_repro.vhdl | 30 |
1 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/synth/issue1100/tb_repro.vhdl b/testsuite/synth/issue1100/tb_repro.vhdl new file mode 100644 index 000000000..f1394d1ad --- /dev/null +++ b/testsuite/synth/issue1100/tb_repro.vhdl @@ -0,0 +1,30 @@ +entity tb_repro is +end tb_repro; + +library ieee; +use ieee.std_logic_1164.all; + +architecture behav of tb_repro is + signal val : std_logic_vector (63 downto 0); + signal iperm : std_logic_vector (3*8 - 1 downto 0) := (others => '0'); + signal en : std_ulogic; + signal res : std_logic_vector (63 downto 0); +begin + dut: entity work.repro + port map (val, iperm, en, res); + + process + begin + val <= x"01_23_45_67_89_ab_cd_ef"; + en <= '1'; + iperm <= o"76543210"; + wait for 1 ns; + assert res = x"01_23_45_67_89_ab_cd_ef"severity failure; + + iperm <= o"01234567"; + wait for 1 ns; + assert res = x"ef_cd_ab_89_67_45_23_01" + report to_hstring(res) severity failure; +wait; + end process; +end behav; |