aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1366/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-06-12 07:51:01 +0200
committerTristan Gingold <tgingold@free.fr>2020-06-12 07:51:01 +0200
commitd8b893bece3dfa2e421a7d92399fa913839c9220 (patch)
treea656ee768566572594d16258a95d1ddec8ea37ac /testsuite/synth/issue1366/testsuite.sh
parentdb9c1fd3700995155b2d8a32d929b3d0dc9689e2 (diff)
downloadghdl-d8b893bece3dfa2e421a7d92399fa913839c9220.tar.gz
ghdl-d8b893bece3dfa2e421a7d92399fa913839c9220.tar.bz2
ghdl-d8b893bece3dfa2e421a7d92399fa913839c9220.zip
testsuite/synth: add test for #1366
Diffstat (limited to 'testsuite/synth/issue1366/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue1366/testsuite.sh11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue1366/testsuite.sh b/testsuite/synth/issue1366/testsuite.sh
new file mode 100755
index 000000000..c4666789f
--- /dev/null
+++ b/testsuite/synth/issue1366/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+
+synth issue.vhdl issue_psl.vhdl -e > syn_issue.vhdl
+
+clean
+
+echo "Test successful"