diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-09-25 20:39:46 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-09-25 20:39:46 +0200 |
commit | 6e9336d11dfc4f53dba234e1f02a2b0172461e0c (patch) | |
tree | 12f93ed2cbbb62c0e8e2fb6b7124201fe0a216bd /testsuite/synth/issue34/repro_nat.vhdl | |
parent | dcc353b07b82a84f2aa598de3884c58f406e0652 (diff) | |
download | ghdl-6e9336d11dfc4f53dba234e1f02a2b0172461e0c.tar.gz ghdl-6e9336d11dfc4f53dba234e1f02a2b0172461e0c.tar.bz2 ghdl-6e9336d11dfc4f53dba234e1f02a2b0172461e0c.zip |
testsuite/synth: rename issueXX to synthXX for ghdlsynth-beta issues.
Diffstat (limited to 'testsuite/synth/issue34/repro_nat.vhdl')
-rw-r--r-- | testsuite/synth/issue34/repro_nat.vhdl | 42 |
1 files changed, 0 insertions, 42 deletions
diff --git a/testsuite/synth/issue34/repro_nat.vhdl b/testsuite/synth/issue34/repro_nat.vhdl deleted file mode 100644 index 328c11781..000000000 --- a/testsuite/synth/issue34/repro_nat.vhdl +++ /dev/null @@ -1,42 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; - -entity sub_nat is - port ( - clk : in std_logic; - a : in natural; - b : out natural - ); -end sub_nat; - -architecture rtl of sub_nat is -begin - process(clk) - begin - if rising_edge(clk) then - b <= a; - end if; - end process; -end rtl; - - -library ieee; -use ieee.std_logic_1164.all; - -entity repro_nat is - port ( - clk : in std_logic; - a : in natural; - b : out natural - ); -end repro_nat; - -architecture rtl of repro_nat is -begin - i_sub_nat : entity work.sub_nat - port map ( - clk => clk, - a => a, - b => b - ); -end rtl; |